<p>Hey btw just putting that out there in the world if there&#39;s anyone who&#39;s super familiar with core_constraints.sdc files in Quartus or just sdc files in general I have a clock I am trying to create a create_generated_clock statement for and I CANNOT figure out how to figure out what type/format quartus wants me to give for the -master_clock argument, nothing works &amp; despite my best efforts I can&#39;t tell if [get_ports clockname] finds nothing or if it&#39;s finding something but it&#39;s the wrong type</p>
Reply